CIOMP OpenIR  > 中科院长春光机所知识产出
基于FPGA实现实时图像去雾算法的研究
王凯
2016-10-01
发表期刊中国科学院长春光学精密机械与物理研究所
期号6
摘要随着经济的发展,空气污染的加重,越来越多的城市出现了雾霾天气。雾霾对室外成像设备的成像质量造成了严重影响,为了获得清晰度较高的图像,需要对图像进行去雾处理,所以研制出去雾效果好、去雾速度快的去雾算法具有重大意义。目前图像去雾算法主要分为基于物理模型的图像复原去雾和基于图像本身的图像增强去雾,基于物理模型的图像复原去雾就是利用雾天图像退化模型,估计出模型参数,反演图像退化过程,然后还原出图像;基于图像本身的图像增强去雾不考虑雾气导致图像降质这一先验信息,直接使用某种图像增强算法进行去雾。目前图像去雾算法主要是在PC上使用软件实现,实时性不高,而在一些特定应用中,比如自动驾驶、战场信息侦察等,对去雾时间也有要求,要求去雾时间越短越好,本文正是为了提高去雾算法的实时性,将暗通道先验图像去雾算法进行改进并将改进的算法在FPGA中实现。本文首先介绍了雾天图像退化模型,然后介绍了何恺明提出的基于暗通道先验的图像去雾算法,这一算法去雾效果较好,但是该算法中用于软抠图的导向滤波实现起来比较繁琐,所以本文在这一算法的基础上进行了裁剪、改进,使其易于在FPGA中实现。本文所做的主要工作有:首先,介绍了基于物理模型的图像复原去雾算法和基于图像本身的图像增强去雾算法,分别分析了两者的优点和瓶颈,最终选择了基于物理模型的暗通道先验去雾算法。虽然原始的基于暗通道先验的图像去雾算法去雾效果好,但是在求取光线传播图时用于软抠图的导向滤波每滤一次波就要重新计算一次滤波器模板,十分耗时,本文直接使用细化的暗通道作为景深,用于光线传播图的估计,这样就不必对光线传播图进行软抠图。算法运行时间降低60%左右,去雾效果也较好。其次,在FPGA中基于Verilog语言设计了Camera Link输入控制模块和CH7301C的DVI输出模块,使用Xilinx提供的存储器接口解决方案MIG实现了DDR3 SDRAM控制器。这些模块的实现为在FPGA中实现改进的基于暗通道先验的图像去雾算法奠定了良好基础。最后,设计了在FPGA中实现的改进暗通道先验图像去雾算法的计算架构,描述了如何在FPGA中实现去雾算法的minI(x)模块、3×3暗通道图及15×15暗通道图的求取模块、掩膜的求取模块和暗通道合成图的求取模块这四个关键组件。
关键词暗通道先验 去雾 Fpga
语种中文
文献类型期刊论文
条目标识符http://ir.ciomp.ac.cn/handle/181722/57953
专题中科院长春光机所知识产出
推荐引用方式
GB/T 7714
王凯. 基于FPGA实现实时图像去雾算法的研究[J]. 中国科学院长春光学精密机械与物理研究所,2016(6).
APA 王凯.(2016).基于FPGA实现实时图像去雾算法的研究.中国科学院长春光学精密机械与物理研究所(6).
MLA 王凯."基于FPGA实现实时图像去雾算法的研究".中国科学院长春光学精密机械与物理研究所 .6(2016).
条目包含的文件
条目无相关文件。
个性服务
推荐该条目
保存到收藏夹
查看访问统计
导出为Endnote文件
谷歌学术
谷歌学术中相似的文章
[王凯]的文章
百度学术
百度学术中相似的文章
[王凯]的文章
必应学术
必应学术中相似的文章
[王凯]的文章
相关权益政策
暂无数据
收藏/分享
所有评论 (0)
暂无评论
 

除非特别说明,本系统中所有内容都受版权保护,并保留所有权利。